Intel® Cyclone® 10 GX收发器PHY用户指南

ID 683054
日期 12/28/2017
Public
文档目录

2.7.5. PIPE的Native PHY IP参数设置

表 103.  PIPE Gen1,Gen2模式下的 Cyclone® 10 GX Native PHY IP的参数本节包含此协议的建议参数值。请参考Using the Cyclone® 10 GX Transceiver Native PHY IP Core来了解参数值的完整范围。
  Gen1 PIPE Gen2 PIPE
Parameter
Message level for rule violations Error Error
Common PMA Options
VCCR_GXB and VCCT_GXB supply voltage for the Transceiver Gen1: 0_9V Gen2: 0_9V
Transceiver link type Gen1: sr Gen2: sr
Datapath Options
Transceiver configuration rules Gen1 PIPE Gen2 PIPE
PMA configuration rules Basic Basic
Transceiver mode TX / RX Duplex TX / RX Duplex
Number of data channels

Gen1 x1: 1 channel

Gen1 x2: 2 channels

Gen1 x4: 4 channels

Gen2 x1: 1 channel

Gen2 x2: 2 channels

Gen2 x4: 4 channels

Data rate 2.5 Gbps 5 Gbps
Enable datapath and interface reconfiguration Optional Optional
Enable simplified data interface Optional 21 Optional 21
Provide separate interface for each channel Optional Optional
表 104.  PIPE Gen1,Gen2模式下的 Cyclone® 10 GX Native PHY IP的参数 - TX PMA本节包含此协议的建议参数值。请参考Using the Cyclone® 10 GX Transceiver Native PHY IP Core来了解参数值的完整范围。
  Gen1 PIPE Gen2 PIPE
TX Bonding Options
TX channel bonding mode

Nonbonded (x1)

PMA & PCS Bonding (x2 and x4)

Nonbonded (x1)

PMA & PCS Bonding (x2 and x4)

PCS TX channel bonding master Auto 22 Auto 22
Default PCS TX channel bonding master

Gen1 x1: Channel 0

Gen1 x2: Channel 1

Gen1 x4: Channel 2

Gen1 x1: Channel 0

Gen1 x2: Channel 1

Gen1 x4: Channel 2

TX PLL Options
TX local clock division factor 1 1
Number of TX PLL clock inputs per channel 1 1
Initial TX PLL clock input selection 0 0
TX PMA Optional Ports
Enable tx_analog_reset_ack port Optional Optional
Enable tx_pma_clkout port Optional Optional
Enable tx_pma_div_clkout port Optional Optional
tx_pma_div_clkout division factor Optional Optional
Enable tx_pma_elecidle port Off Off
Enable rx_seriallpbken port Off Off
表 105.  PIPE Gen1,Gen2模式下的 Cyclone® 10 GX Native PHY IP的参数 - RX PMA本节包含此协议的建议参数值。请参考Using the Cyclone® 10 GX Transceiver Native PHY IP Core来了解参数值的完整范围。
  Gen1 PIPE Gen2 PIPE
RX CDR Options
Number of CDR reference clocks 1 1
Selected CDR reference clock 0 0
Selected CDR reference clock frequency 100, 125 MHz 100, 125 MHz
PPM detector threshold 1000 1000
Equalization
CTLE adaptation mode Manual Manual
DFE adaptation mode Disabled Disabled
Number of fixed dfe taps NA NA
RX PMA Optional Ports
Enable rx_analog_reset_ack port Optional Optional
Enable rx_pma_clkout port Optional Optional
Enable rx_pma_div_clkout port Optional Optional
rx_pma_div_clkout division factor Optional Optional
Enable rx_pma_clkslip port Optional Optional
Enable rx_is_lockedtodata port Optional Optional
Enable rx_is_lockedtoref port Optional Optional
Enable rx_set_locktodata and rx_set_locktoref ports Optional Optional
Enable rx_seriallpbken port Optional Optional
Enable PRBS Verifier Control and Status ports Optional Optional
表 106.  PIPE Gen1,Gen2模式下的 Cyclone® 10 GX Native PHY IP的参数 - Standard PCS本节包含此协议的建议参数值。请参考Using the Cyclone® 10 GX Transceiver Native PHY IP Core来了解参数值的完整范围。
参数 Gen1 PIPE Gen2 PIPE
Standard PCS configurations
Standard PCS / PMA interface width 10 10
FPGA Fabric / Standard TX PCS interface width 8, 16 16
FPGA Fabric / Standard RX PCS interface width 8, 16 16
Enable Standard PCS low latency mode Off Off
Standard PCS FIFO
TX FIFO mode low_latency low_latency
RX FIFO mode low_latency low_latency
Enable tx_std_pcfifo_full port Optional Optional
Enable tx_std_pcfifo_empty port Optional Optional
Enable rx_std_pcfifo_full port Optional Optional
Enable rx_std_pcfifo_empty port Optional Optional
Byte Serializer and Deserializer
TX byte serializer mode Disabled, Serialize x2 Serialize x2
RX byte deserializer mode Disabled, Serialize x2 Serialize x2
8B/10B Encoder and Decoder
Enable TX 8B/10B encoder Enabled Enabled
Enable TX 8B/10B disparity control Enabled Enabled
Enable RX 8B/10B decoder Enabled Enabled
Rate Match FIFO
Rate Match FIFO mode PIPE, PIPE 0ppm PIPE, PIPE 0ppm
RX rate match insert / delete -ve pattern (hex) 0x0002f17c (K28.5/K28.0/) 0x0002f17c (K28.5/K28.0/)
RX rate match insert / delete +ve pattern (hex) 0x000d0e83 (K28.5/K28.0/) 0x000d0e83 (K28.5/K28.0/)
Enable rx_std_rmfifo_full port Optional Optional
Enable rx_std_rmfifo_empty port Optional Optional
Word Aligner and Bit Slip
Enable TX bit slip Off Off
Enable tx_std_bitslipboundarysel port Optional Optional
RX word aligner mode Synchronous State Machine Synchronous State Machine
RX word aligner pattern length 10 10
RX word aligner pattern (hex) 0x0000 00000000017c (/K28.5/) 0x0000 00000000017c (/K28.5/)
Number of word alignment patterns to achieve sync 3 3
Number of invalid data words to lose sync 16 16
Number of valid data words to decrement error count 15 15
Enable rx_std_wa_patternalign port Optional Optional
Enable rx_std_wa_a1a2size port Off Off
Enable rx_std_bitslipboundarysel port Optional Optional
Enable rx_bitslip port Off Off
比特倒转与极性反转(Bit Reversal and Polarity Inversion)
Enable TX bit reversal Off Off
Enable TX byte reversal Off Off
Enable TX polarity inversion Off Off
Enable tx_polinv port Off Off
Enable RX bit reversal Off Off
Enable rx_std_bitrev_ena port Off Off
Enable RX byte reversal Off Off
Enable rx_std_byterev_ena port Off Off
Enable RX polarity inversion Off Off
Enable rx_polinv port Off Off
Enable rx_std_signaldetect port Optional Optional
PCIe Ports
Enable PCIe dynamic datarate switch ports Off Enabled
Enable PCIe pipe_hclk_in and pipe_hclk_out ports Enabled Enabled
Enable PCIe electrical idle control and status ports Enabled Enabled
Enable PCIe pipe_rx_polarity port Enabled Enabled
Dynamic reconfiguration
Enable dynamic reconfiguration Disabled Disabled
注: Simplified Interface使能时,最左侧列中的信号将自动映射到128-bit tx_parallel_data字的子集。
21 请参考来了解简化数据接口使能时的比特设置。
22 此参数的设置取决于布局。在AUTO模式下,Native PHY IP Parameter Editor将选择配置的最中间通道作为默认的PCS TX channel bonding master。您必须确保将所选通道物理布局为收发器bank的Ch1或Ch4。否则,对PCS TX channel bonding master使用手动选择来选择可以物理布局在收发器bank的Ch1或Ch4的通道。关于详细信息,请参考“如何对PIPE配置布局通道”一节。