Intel® Quartus® Prime Pro Edition用户指南: 部分重配置

ID 683834
日期 5/11/2020
Public
文档目录

2.3.7. PR控制模块和CRC模块Verilog HDL手动例化

Partial Reconfiguration Controller Intel® Arria® 10 /Cyclone 10 IP包含PR控制模块。然而,如果您创建自己的自定义逻辑来执行IP core的功能,那么需要手动例化控制模块以与FPGA系统进行通信。

以下示例在顶层 Intel® Arria® 10 PR工程中例化了一个PR控制模块(Chip_Top,in Verilog HDL):

Chip_Top:
module Chip_Top (
 //User I/O signals (excluding PR related signals)
..
..
//PR interface and configuration signals declaration
 wire pr_request;
 wire pr_ready;
 wire pr_done;
 wire crc_error;
 wire dclk;
 wire [31:0] pr_data;

twentynm_prblock m_pr
 (
 .clk (dclk),
 .corectl (1'b1),
 .prrequest(pr_request),
 .data (pr_data),
 .error (pr_error),
 .ready (pr_ready),
 .done (pr_done)
 );

twentynm_crcblock m_crc
 (
 .clk (clk),
 .shiftnld (1'b1),
 .crcerror (crc_error)
 );
endmodule

关于用于读取Error Message Register (EMR)的端口连接的更多信息,请参考AN539: Test Methodology of Error Detection and Recovery using CRC