Intel® Quartus® Prime Pro Edition用户指南: 部分重配置

ID 683834
日期 5/11/2020
Public
文档目录

1.9.1.2. altera_pr_persona_if Module

在PR区域仿真wrapper中例化altera_pr_persona_if SystemVerilog接口,以连接到所有的wrapper multiplexer。或者,将pr_activate连接到PR仿真模型。
将接口的persona_select连接到所有输入和输出多路复用器的sel端口。将pr_activate连接到所有输出多路复用器的pr_activate。或者,将报告事件连接到 PR仿真模型的报告事件端口。然后,PR区域驱动器测试台组件能够驱动接口。
interface altera_pr_persona_if;
   logic pr_activate;
   int   persona_select;
   
   event report_storage_if_x_event;
   event report_storage_if_1_event;
   event report_storage_if_0_event;
   event report_storage_event;
   
   initial begin
      pr_activate <= 1'b0;
   end
endinterface : altera_pr_persona_if
<QUARTUS_INSTALL_DIR> /eda/sim_lib/altera_lnsim.sv文件定义altera_pr_persona_if组件。