Intel® Quartus® Prime Pro Edition用户指南: 部分重配置

ID 683834
日期 5/11/2020
Public
文档目录

2.4.3. 为 Intel® Stratix® 10或者 Intel® Agilex™ 设计配置一个外部主机

您可以选择使用外部主机将部分比特流数据从外部存储器写入到 Intel® Stratix® 10或者 Intel® Agilex™ 器件中。使用外部主机配置时,外部主机会通过置位pr_request信号来启动部分重配置。外部主机通过pr_donepr_error信号监视PR状态。

外部主机必须对握手信号做出适当响应,以成功进行部分重配置。确保准备正确的PR区域用于部分重配置以协调系统级别的部分重配置。重配置后,将PR区域返回到操作状态。

按照以下步骤对 Intel® Stratix® 10或者 Intel® Agilex™ 设计配置一个外部主机:

  1. 参数化并生成Partial Reconfiguration External Configuration Controller Intel FPGA IP,如生成IP Core ( Intel Quartus Prime Pro Edition)所述。
  2. Partial Reconfiguration External Configuration Controller pr_requestpr_donepr_error信号连接到顶层管脚,用于外部主机进行控制和监控。通过点击Assignments > Pin Planner来分配管脚位置。
  3. 点击Assignments > Device,然后点击Device & Pin Options按钮。
  4. Category列表中,点击Configuration
  5. 对于Configuration scheme,选择与完整器件配置匹配的方案。例如,如果完整器件配置使用AVSTx32方案,那么PR配置必须使用AVSTx32。此选项自动保留专用的 Avalon® -ST配置管脚,用于用户模式下的部分重配置。这些管脚与用于完整器件配置的 Avalon® -ST管脚完全相同。

下表描述了外部主机使用的PR管脚。PR流向 Avalon® -ST管脚必须符合带背压的数据传输的 Avalon® -ST规范。

表 34.  部分重配置外部配置管脚
管脚名称 类型 描述
pr_request Input 连接到Partial Reconfiguration External Configuration Controller IP的用户分配的端口。此管脚上的逻辑高电平表示PR主机正在请求部分重配置。
pr_done Output 连接到Partial Reconfiguration External Configuration Controller IP的用户分配的端口。此管脚上的逻辑高电平表示部分重配置完成。
pr_error Output 连接到Partial Reconfiguration External Configuration Controller IP的用户分配的端口。此管脚上的逻辑高电平表示部分重配置期间器件中的一个错误。
avst_data:
avstx8 - [7:0]
avstx16 - [15:0]
avstx32 - [31:0]
Input 这些管脚对外部主机提供连接功能,将PR比特流传输到SDM。avstx8数据管脚是SDM I/O的一部分。avstx16avstx32数据管脚来自I/O 48 bank 3A。
avst_clk Input Avalon® -ST接口提供时钟。avst_dataavst_valid同步于avst_clkavstx8 clk管脚是SDM I/O的一部分。avstx16avstx32来自I/O 48 bank 3A。
avst_valid Input

此管脚上的逻辑高电平表示avst_data中的数据是有效数据。avstx8数据管脚是SDM I/O的一部分。avstx16avstx32数据管脚来自I/O 48 bank 3A。

avst_ready Output 此管脚上的逻辑高电平表示SDM已准备好接收来自外部主机的数据。此输出是SDM I/O的一部分。