Intel® Quartus® Prime Pro Edition用户指南: 部分重配置

ID 683834
日期 5/11/2020
Public
文档目录

2.4.2. 端口

Partial Reconfiguration External Configuration Controller Intel® FPGA IP包含以下接口端口。
表 33.  端口
端口名称 宽度 方向 功能
pr_request 1 Input 表示PR进程已准备好开始。此信号是一个与任何时钟信号都不同步的管道(conduit)。
pr_error 1 Output 表示一个部分重配置错误。此信号是一个与任何时钟信号都不同步的管道(conduit)。
pr_done 1 Output 表示PR进程已完成。此信号是一个与任何时钟信号都不同步的管道(conduit)。
start_addr 1 Input 指定Active Serial Flash中PR数据的起始地址。通过对Enable Avalon-ST Pins or Active Serial Pins参数选择 Avalon® -ST或者Active Serial来使能此信号。此信号是一个与任何时钟信号都不同步的管道(conduit)。
reset 1 Input 高电平有效,同步复位信号。
out_clock 1 Output 从内部振荡器生成的时钟源。
busy 1 Output

IP置位此信号以指示正在进行PR数据传输。通过对Enable busy interface参数选择Enable来使能此信号。