Intel® Hyperflex™ 体系结构高性能设计手册

ID 683353
日期 10/04/2021
Public
文档目录

4.1.3. 步骤3:添加更多的流水线级和移除全部异步复位

Fast Forward Timing Closure Recommendations建议您进行进一步的变更,以便在重定时期间实现更多的优化。Optimizations Analyzed选项卡报告可供您修改的特定寄存器。此报告指出,state_machine.v仍然包含限制优化的异步复位。 按照下面的步骤移除 state_machine.v中剩余的异步复位,并添加更多的流水线级:
  1. 使用步骤2:添加流水线级和移除异步复位中的技术方法和示例将state_machine.v中的全部异步复位更改成同步复位。如报告中指出, 这些复位位于文件中的多个位置。
  2. 在Fast Forward Details报告中,选择Fast Forward Limit行之前的最后一行优化,然后点击Optimizations Analyzed选项卡。Optimizations Analyzed指出要添加的寄存器位置和数量。
  3. 使用步骤2:添加流水线级和移除异步复位中的技术方法和示例在Optimizations Analyzed选项卡的位置上添加流水线级的数量。
  4. 再次编译设计和查看Fast Forward Details报告。提升的性能与所评估的类似,但短路径和长路径组合仍然限制性能。下一步将解决这个性能限制。
    注: 作为完成上述步骤的替代方法,您可以打开并编译Median_filter_<version>/Step_2/median.qpf工程文件(此文件已包含这些变更),然后观察结果。