L-Tile和H-Tile收发器PHY用户指南

ID 683621
日期 3/29/2021
Public
文档目录

2.7.2.2. 用于仿真的.do文件的步骤

以下过程显示了生成用于仿真的.do文件所需的步骤。

第3步:生成IP仿真设置脚本并创建顶层仿真脚本。

  1. Intel® Quartus® Prime Tools菜单中,选择Generate Simulator Setup Script for IP

  2. Generate Simulator Setup Script for IP对话框中,请不要使能Compile all design files to the default library work

  3. 选中Use relative paths whenever possible
  4. 点击OK
  5. 脚本生成完成后,在文件资源管理器窗口中,找到project directory
  6. mentor directory中,在文本编辑器中打开msim_setup.tcl文件。

  7. msim_setupt.tcl文件中,向上滚动到注释行# # TOP-LEVEL TEMPLATE – BEGIN。然后向下滚动到# # TOP-LEVEL TEMPLATE – END
  8. 将上面两个注释行和它们之间的所有命令行复制到剪贴板上。
  9. 在文本编辑器中创建一个新的文本文件,并将剪贴板中的内容粘贴到这个新文件中。

    同样,这个新文件应该以# # TOP-LEVEL TEMPLATE – BEGIN开始,以# # TOP-LEVEL TEMPLATE – END结束。(正确执行此操作以符合将来的修改说明。)

  10. 将文件另存为mentor_top.do,保存到project directory中(不是mentor directory)。
  11. mentor_top.do进行如下修改:

    • 取消注释DO文件的第11行,setQSYS_SIMDIR…命令。

      将<script generation output directory>更改成仿真运行的工程目录。

    • 取消注释第14行,source $QSYS_SIMDIR/mentor/msim_setup.tcl命令。

      此命令提供生成的ModelSim仿真设置脚本。

    • 取消注释第20行,dev_com命令。

      此命令使用dev_com别名来编译所有特定于器件的仿真库文件。

    • 取消注释第23行,com命令。

      此命令编译所有IP core特定的仿真文件。

    • 取消注释第29行,vlog命令。

    • 对此vlog命令,输入以下命令:

      vlog -work work -vlog01compat < all top_level design files > <test bench>

      此命令将编译仿真中使用的整个非IP core文件。

    • 取消注释第34行,set TOP_LEVEL_NAME…命令。将<simulation top > 替换成<name of test bench file >。例如,如果测试台是design_tb.v,那么发出以下命令:

      set TOP_LEVEL_NAME design_tb

    • 取消注释第37行,set USER_DEFINED_ELAB_OPTIONS…命令。将<elaboration options>替换成voptargs="+acc"

      此命令让您指定使用仿真器的vsim命令调用的参数。特别是,您可以在保持内部信号的完全可见性的同时进行仿真器优化。

    • 取消注释第40行,elab命令。

    • 此别名(alias)启动仿真。

    • 取消注释第43行,运行–a命令。
  12. 保存mentor top.do文件。