Intel® Quartus® Prime Pro Edition用户指南: Timing Analyzer

ID 683243
日期 10/02/2023
Public
文档目录

2.6.4. 使用实体绑定的SDC文件(Using Entity-bound SDC Files)

在整个设计流程中,在Synopsis Design Constraints (SDC)文件中指定的大多数时序约束在您的工程范围内都有一个全局作用域(global scope)。 如果您想将一组不同的约束与特定的设计实体关联起来,那么可以使用SDC_ENTITY_FILE assignment将SDC文件分配给您工程中的特定实体模块。实体绑定的SDC文件使您能够将约束专门针对需要的特定实体,从而显著提高了时序约束的准确性。这种方法避免了全局约束的无意后果,后者可能包含比预期更多的目标。因此,您可以对设计中这些约束生效的精确位置进行出色的控制。

另一项增强功能体现在增强的可移植性方面。当导出包含实体绑定SDC约束的分区时,您可以选择在导出文件中包含这些约束,方法是在 Export Design Partition窗口中选择Include entity-bound SDC files for the selected partition选项,或者在通过Tcl命令导出时使用-include_sdc_entity_in_partition参数。

要在 Intel® Quartus® Prime Pro Edition软件中使用实体绑定SDC文件方法将一个特定SDC文件与一个实体关联,请安装以下步骤进行:

  1. 生成一个新的SDC文件,并通过点击Project > Add/Remove files in project将此文件包含在您的工程中。
  2. 浏览文件列表,选择最新创建的SDC文件。
  3. 点击Properties按钮。
  4. Type下拉列表中,选择Synopsys Design Constraints File with entity binding
    图 94. 实体绑定(Entity Binding)
  5. Entity下拉列表中,找到您想要绑定到SDC文件的实体。
  6. 点击OK,保存更改。

或者,您可以通过 Intel® Quartus® Prime软件工程设置文件中的以下assignment在一个特定SDC文件与一个实体之间建立关联:

QSF Assignment语法:

set_global_assignment -entity <entity_name> -name SDC_ENTITY_FILE <sdc_file_name> \
-library <library_name> [-no_sdc_promotion] [-no_auto_inst_discovery]

其中:

Argument(参数) 说明
-entity <entity_name> 这是一个必需的参数。它定义了您要将SDC文件绑定到的实体。
-name SDC_ENTITY_FILE <sdc_file_name> 指定SDC文件名称。文件的名称是相对于工程路径的。

在作用域(scoping)方面,SDC_ENTITY_FILE的默认设置包含自动约束作用域,这意味着SDC文件中的任何get命令(例如:get_pinsget_ports等等)的每个结果都前面加上实例的路径。这会限制所有的get命令仅面向与SDC文件相关联的绑定实例内的目标项。您可以使用SDC命令中提供的-no_sdc_promotion参数来禁用此配置(这有助于实例级定位)。通过使用此选项,您可以通过显式提供当前实例的顶级路径或使用提供当前实例的顶级路径的get_current_instance命令来处理手动确定集合作用域(scoping)的责任。当将本地命令与那些需要面向全局,顶级路径或与SDC文件关联的实例之外的对象的命令相结合时,这种方法被证明是非常有用的。

-library <library_name> 表示引用实体的库。如果您选择不指定库,那么 Intel® Quartus® Prime Pro Edition软件会自动默认为altera_work库。

-no_sdc_promotion

-no_auto_inst_discovery

将任何实体绑定的SDC转换成一个全局SDC文件,在整个编译过程中只读取一次此文件,这使其特别适合将SDC与指定导出为qdb文件的实体捆绑在一起。此配置仍然允许SDC的集合过滤器在您的get命令中指定全局顶级路径。