Intel® Quartus® Prime Pro Edition用户指南: Timing Analyzer

ID 683243
日期 10/02/2023
Public
文档目录

2.6.2. SDC文件优先级

您必须将所有创建的.sdc文件添加到工程中,以便在fitting和时序分析期间进行读取。Fitter和Timing Analyzer根据.sdc文件在.qsf中出现的顺序对它们进行处理。如果没有.sdc出现在.qsf中,那么 Intel® Quartus® Prime软件将使用<current revision>.sdc在工程目录中搜索.sdc
注: Intel® FPGA IP将IP的RTL和SDC约束封装在一个.ip文件中。因此,在一个工程的.qsf文件中,可能会有封装在包含.ip文件中的SDC约束的引用。
图 93. .sdc文件优先顺序

点击Settings > Timing Analyzer添加,删除或者修改.sdc文件的处理顺序,如第1步:指定Timing Analyzer设置所描述。

如果使用 Intel® Quartus® Prime Text Editor创建一个.sdc文件,那么保存文件时会默认使能 Add file to the project选项。如果使用任何其他编辑器创建.sdc文件,那么必须将此文件添加到工程中。

.sdc文件必须只包含时序约束命令。用于操作时序网表或控制编译的Tcl命令必须位于单独的Tcl脚本中。

注: 如果在命令行输入read_sdc命令而没有任何参数,那么Timing Analyzer将读取嵌入在HDL文件中的约束,然后遵照.sdc文件优先顺序读取.sdc文件。