Intel® Quartus® Prime Pro Edition用户指南: Timing Analyzer

ID 683243
日期 10/02/2023
Public
文档目录

2.6.1. 建议的初始SDC约束

在初始.sdc文件中包含以下基本SDC约束。
以下示例显示了建议的初始SDC约束在简单双时钟设计中的应用:
create_clock -period 20.00 -name adc_clk [get_ports adc_clk]
create_clock -period 8.00 -name sys_clk [get_ports sys_clk]

derive_pll_clocks

derive_clock_uncertainty
注: Intel® Arria® 10 Intel® Cyclone® 10 GX器件支持Derive PLL Clocks (derive_pll_clocks)约束。对于所有其他受支持的器件,Timing Analyzer自动从绑定到相关IP的约束获得PLL时钟。