Intel® Quartus® Prime Pro Edition用户指南: Timing Analyzer

ID 683243
日期 10/02/2023
Public
文档目录

2.6.6.1. 输入约束(set_input_delay)

输入约束对驱动FPGA的所有外部信号指定延迟。指定设计中所有输入端口的输入要求。
set_input_delay -clock { clock } -clock_fall -fall -max 20 foo

使用Set Input Delay (set_input_delay)约束指定外部输入延迟要求。指定Clock name (-clock)以引用虚拟或实际时钟。您可以指定一个时钟,使Timing Analyzer能够正确地获取时钟间隔和内部传输的时钟不确定性。时钟定义输入端口的启动时钟。 Timing Analyzer自动确定采集输入数据的器件内部的锁存时钟,因为器件中的所有时钟都已定义。

图 117. 输入延迟图
图 118. 输入延迟计算

如果您的设计包含分区边界端口,那么可以使用-blackbox选项和set_input_delay来分配输入延迟。-blackbox选项创建一个与边界端口同名的新keeper timing节点。该新节点允许通过原始边界端口传播时序路径,并用作set_input_delay约束。使用get_keepers命令时,将显示新的keeper timing节点。您可以使用remove_input_delay -blackbox删除这些black box约束。