Intel® Quartus® Prime Pro Edition用户指南: Timing Analyzer

ID 683243
日期 10/02/2023
Public
文档目录

2.6.1.3. Derive Clock Uncertainty (derive_clock_uncertainty)

Derive Clock Uncertainty (derive_clock_uncertainty)约束对设计中的时钟到时钟传输应用设置和保持时钟不确定性。这种不确定性代表了PLL抖动,时钟树抖动和其他不确定因素等特性。

通过使能Add clock uncertainty assignment (-add)可以从任何Set Clock Uncertainty (set_clock_uncertainty)约束添加时钟不确定性值。您可以Overwrite existing clock uncertainty assignments (-overwrite)任何set_clock_uncertainty约束。

create_clock -period 10.0 -name fpga_sys_clk [get_ports fpga_sys_clk] \
	derive_clock_uncertainty -add - overwrite

如果从.sdc文件中省略derive_clock_uncertainty,那么Timing Analyzer会生成一条消息。