Intel® Quartus® Prime Pro Edition用户指南: Timing Analyzer

ID 683243
日期 10/02/2023
Public
文档目录

2.6.8.2. 伪路径(False Paths) (set_false_path)

Set False Path (set_false_path)约束使您能够从时序分析中排除路径,例如测试逻辑或与电路操作无关的任何其他路径。您可以指定路径的源(-from),公共元素(common through elements)(- thru)和目的地(-to)元素。

下面的SDC命令指定从以A开头的所有寄存器到以B开头的所有寄存器的伪路径异常(false path exceptions):

set_false_path -from [get_pins A*] -to [get_pins B*]

您可以将点对点或时钟到时钟路径指定为伪路径。伪路径的-from-to目标可以是节点,也可以是时钟。然而,-thru目标只能是组合节点。例如 ,例如,您可以对静态配置寄存器指定一条伪路径,静态配置寄存器在上电初始化期间被写入一次,但不会再次更改状态。

虽然来自静态配置寄存器的信号通常跨时钟域,但您可能不想对时钟到时钟路生成一个径伪路径异常,因为某些数据可能跨时钟域传输。但是,您可以选择性地生成从静态配置寄存器到所有端点中的伪路径异常。

除非另有说明,否则Timing Analyzer假定所有时钟都是相关的。使用时钟组可以更有效地在时钟之间产生伪路径异常,而不是在想要消除的每个时钟传输之间写入多个set_false_path异常。