Intel® Quartus® Prime Pro Edition用户指南: 设计优化

ID 683641
日期 9/30/2019
Public
文档目录

3.3. 脚本支持

可运行本章节Tcl脚本中介绍的过程及设置。还可按照命令提示运行一些处理过程。关于脚本命令选项的详细信息,请参阅 Intel® Quartus® Prime Command-Line和Tcl API Help浏览器。要运行Help浏览器,请在提示命令键入如下命令:
quartus_sh --qhelp

可在实例中或/和全局级中指定本小节中介绍的多个选项。

使用以下Tcl命令进行全局约束:

set_global_assignment -name <QSF variable name> <value>

使用以下Tcl命令进行实例约束:

set_instance_assignment -name <QSF variable name> <value> \ -to <instance name>