Intel® Quartus® Prime Pro Edition用户指南: 设计优化

ID 683641
日期 9/30/2019
Public
文档目录

4.3. 脚本支持

可运行本章中Tcl脚本说明的过程并进行约束设置。还可按照提示命令指示运行。 关于脚本选项的详细信息,请参阅 Intel® Quartus® Prime命令行和Tcl API Help浏览器。运行“Help”浏览器,可在提示命令键入如下命令:
  1. 运行Help浏览器,在命令提示中键入如下命令:
    quartus_sh --qhelp

    可在实例中或/和全局级中指定本小节中介绍的多个选项。

  2. 使用以下Tcl命令进行全局约束:
    set_global_assignment -name <QSF variable name> <value>
  3. 使用以下Tcl命令进行实例约束:
    set_instance_assignment -name <QSF variable name> <value> \ -to <instance name>
注: 如果<value>字段包含空格(例如,‘Standard Fit’),则必须以英文双引号附上其值。