Intel® Quartus® Prime Pro Edition用户指南: 设计优化

ID 683641
日期 9/30/2019
Public
文档目录

5.5.2. I/O时序

Timing Analyzer支持 Synopsys* Design Constraints (SDC)格式对设计进行约束。使用Timing Analyzer时序分析时,通过set_input_delay约束指定数据根据给定时钟到达出入端口的时间。对于输出端口,请使用set_output_delay命令指定数据根据给定时钟到达输出端口接收器的时间。可使用report_timing Tcl命令生成I/O时序报告。

未符合所需时序性能的I/O路径被报告为具有负时间裕量,并在Timing Analyzer Report窗口中中以红色突出显示。即使您未对I/O管脚应用明确的I/O时序约束,而 Intel® Quartus® Prime时序分析软件仍会报告Actual数目,表示器件在系统中运行时,时序参数必须满足的时序数量。