JESD204B Intel® FPGA IP用户指南

ID 683442
日期 9/10/2020
Public
文档目录

6.7. 使用System Console调试JESD204B链路

系统控制台通过Avalon-MM接口提供对JESD204B IP寄存器组的访问。

要使用系统控制台,您的设计必须包含一个带有JTAG-to-Avalon-MM Master桥接或者Nios II Processor组件的Platform Designer子系统。如果IP位于Platform Designer子系统中,那么直接通过Platform Designer互连将JESD204B IP Avalon-MM接口连接到Avalon-MM master。否则,如果IP不是Platform Designer子系统的一部分,那么通过Merlin从转换器连接Avalon-MM接口。

所有器件(除了 Intel® Stratix® 10 E-tile器件)的PHY层

通过<ip_variant_name> .v中的这些信号对PHY状态进行验证:

表 90.  所有受支持器件(除了 Intel® Stratix® 10 E-tile器件)的PHY状态信号
设计 信号
RX
  • rx_is_lockedtodata
  • rx_analogreset
  • rx_digitalreset
  • rx_cal_busy
TX
  • pll_locked
  • pll_powerdown
  • tx_analogreset
  • tx_digitalreset
  • tx_cal_busy
RX and TX (Duplex)
  • rx_is_lockedtodata
  • rx_analogreset
  • rx_digitalreset
  • rx_cal_busy
  • rx_seriallpbken
  • pll_locked
  • pll_powerdown
  • tx_analogreset
  • tx_digitalreset
  • tx_cal_busy

使用rxphy_clk[0]txphy_clk[0]信号作为Signal Tap Logic Analyzer的采样时钟。

对于JESD204B RX路径的正常操作,每个通道的rx_is_lockedtodata位应该为"1",而每个通道的rx_cal_busyrx_analogresetrx_digitalreset位应该为"0”。

对于JESD204B TX路径的正常操作,每个通道的pll_locked位应该为"1",而每个通道的tx_cal_busypll_powerdowntx_analogresettx_digitalreset应该为"0"。

通过将时钟连接到FPGA上的CLKOUT管脚来测量rxphy_clktxphy_clk频率。该频率应该与Hard PCS或Soft PCS模式中PCS选项的链路时钟频率相同。它是PMA Direct模式中PCS选项的1/2链路时钟频率。

Intel® Stratix® 10 E-Tile器件的PHY层

通过<ip_variant_name> .v中的这些信号对PHY状态进行验证:

表 91.   Intel® Stratix® 10 E-Tile器件的PHY状态信号
设计 信号
RX
  • rx_is_lockedtodata
  • phy_rx_ready
  • phy_rx_pma_ready
TX
  • phy_tx_ready
  • phy_tx_pma_ready
使用rxphy_clk[0]或者txphy_clk[0]信号作为采集信号。然后在SDC脚本中添加以下set_false_path约束。
set_false_path -from 
<instance_name>|inst_phy|inst_xcvr|*counter_*x_ready|r_reset -to 
auto_fab*sld_signaltap_inst*

对于JESD204B RX路径的正常操作,每个通道的phy_rx_pma_readyphy_rx_readyrx_islockedtodata位应该为"1"。

对于JESD204B TX路径的正常操作,每个通道的phy_tx_pma_readyphy_tx_ready位应该为"1"。

通过将时钟连接到FPGA的CLKOUT管脚来测量rxphy_clktxphy_clk频率。此频率应与链路时钟频率相同。

链路层

通过<ip_variant_name> _inst_phy.v中的这些信号验证RX和TX PHY链路层接口操作:

表 92.  RX和TX PHY链路层信号
设计 信号
RX
  • jesd204_rx_pcs_data
  • jesd204_rx_pcs_data_valid
  • jesd204_rx_pcs_kchar_data
  • jesd204_rx_pcs_errdetect
  • jesd204_rx_pcs_disperr
TX
  • jesd204_tx_pcs_data
  • jesd204_tx_pcs_kchar_data

通过<ip_variant_name> .v中的这些信号验证链路层操作:

表 93.  RX和TX PHY链路层信号
设计 信号
RX
  • jesd204_rx_avs_rst_n
  • rxlink_rst_n_reset_n
  • rx_sysref (for Subclass 1 only)
  • rx_dev_sync_n
  • jesd204_rx_int
  • alldev_lane_aligned
  • dev_lane_aligned
  • rx_somf

使用rxlink_clk信号作为采样时钟。

TX
  • jesd204_tx_avs_rst_n
  • txlink_rst_n_reset_n
  • tx_sysref (仅Subclass 1)
  • sync_n
  • tx_dev_sync_n
  • mdev_sync_n
  • jesd204_tx_int

Intel® 建议您通过访问DAC SPI寄存器或者DAC制造商提供的任何调试功能来验证JESD204B功能性。

图 33. JESD204B链路初始化这是JESD204B链路初始化期间的一个Signal Tap映像。JESD204B链路含有两个收发器通道(L = 2)。


时序图说明:

  • a. JESD204B链路退出复位。
  • b. RX CDR被锁定,PCS输出有效字符到链路层。
  • c. 没有运行差异错误,PCS内的8B/10B模块成功地解码输入字符。
  • d. ADC传送/K/字符或BC十六进制数到FPGA,开始CGS阶段。
  • e. 在接收到连续的4个/K/字符时,链路层释放rx_dev_sync_n信号。
  • f. 当ADC在/K/字符后传送/R/或1C十六进制数时,JESD204B链路从CGS跳变到ILAS数位。
  • g. 在ILAS相位中第二个(2nd)多帧的开始。第二个(2nd)多帧包含JESD204B链路配置数据。
  • h.第三个(3rd)多帧的开始。
  • i. 第四个(4th)多帧的开始。
  • j. 完成器件通道对齐。在这个实例中,仅有一个器件,dev_lane_aligned连接到alldev_lane_aligned,并且两个信号被置位在一起。
  • k. 用户数据相位的开始,其中用户数据通过JESD204B链路流入。

传输层

使用altera_jesd204_transport_rx_top.sv中的这些信号验证RX传输层操作:

  • jesd204_rx_dataout
  • jesd204_rx_data_valid
  • jesd204_rx_data_ready
  • jesd204_rx_link_data_ready
  • jesd204_rx_link_error
  • rxframe_rst_n

使用rxframe_clk信号作为采样时钟。

对于正常的操作,jesd204_rx_data_validjesd204_rx_data_readyjesd204_rx_link_data_ready信号应该被置位,而jesd204_rx_link_error应该被置低。您可以查看jesd204_rx_dataout总线上斜波或正弦波形的测试码型。

图 34.  jesd204_rx_dataout总线上的斜坡码型这是JESD204B用户数据相位期间的一个Signal Tap II映像(包含从ADC传输的斜坡码型(ramp pattern))。


使用altera_jesd204_transport_tx_top.sv中的这些信号验证TX传输层操作:

  • txframe_rst_n
  • jesd204_tx_datain
  • jesd204_tx_data_valid
  • jesd204_tx_data_ready
  • jesd204_tx_link_early_ready
  • jesd204_tx_link_data_valid
  • jesd204_tx_link_error

使用txframe_clk信号作为采样时钟。

对于正常的操作,jesd204_tx_data_validjesd204_tx_data_readyjesd204_tx_link_early_readyjesd204_tx_link_data_valid信号应该被置位,而jesd204_tx_link_error应该被置低。通过参考jesd204_tx_datain总线,可以验证用户数据排列(如Design Examples for JESD204B IP Core User Guide中的 TX Path Data Remapping部分中的数据映射表所示)。