JESD204B Intel® FPGA IP用户指南

ID 683442
日期 9/10/2020
Public
文档目录

3.6.1. 创建一个新的 Intel® Quartus® Prime工程

您可以使用New Project Wizard创建一个新的 Intel® Quartus® Prime工程。创建一个新的工程使您能够:
  • 指定工程的工作目录。
  • 分配工程名称。
  • 指定顶层设计实体的名称。
  1. 运行 Intel® Quartus® Prime软件。
  2. File菜单上,点击New Project Wizard
  3. New Project Wizard: Directory, Name, Top-Level Entity页面,指定工作目录、工程名称以及顶层设计实体名称。点击Next
  4. New Project Wizard: Add Files页面,选择您想要添加到工程中的现有设计文件(如有)。14点击Next
  5. New Project Wizard: Family & Device Settings页面,选择器件系列和想要编译的特定器件。点击Next
  6. EDA Tool Settings页面,选择与 Intel® Quartus® Prime软件一起使用的EDA工具来进行工程开发。
  7. 查看在New Project Wizard窗口中所选设置的总结,然后点击Finish,完成 Intel® Quartus® Prime工程的创建。
14 要添加现有文件,必须将目录路径指定为JESD204B IP core的安装位置。如果IP Library与 Intel® Quartus® Prime软件安装在不同目录中,那么还必须添加用户库。