Intel® Stratix® 10精度可调DSP块用户指南

ID 683832
日期 10/22/2019
Public
文档目录

7.4. 信号

如下表格罗列了Multiply Adder Intel® FPGA IP 核的输入和输出信号。

表 40.   Multiply Adder Intel® FPGA IP 输入信号
信号 是否需要 说明
dataa_0[]/dataa_1[]/dataa_2[]/dataa_3[] Yes 到乘法器的数据输入。输入端口[NUMBER_OF_MULTIPLIERS * WIDTH_A - 1 …0]
datab_0[]/datab_1[]/datab_2[]/datab_3[] Yes 到乘法器的数据输入。输入信号[NUMBER_OF_MULTIPLIERS * WIDTH_B - 1 …0]
datac_0[]/datac_1[]/datac_2[]/datac_3[] No 到乘法器的数据输入。输入信号[NUMBER_OF_MULTIPLIERS * WIDTH_C - 1,… 0]

Select preadder mode参数选择INPUT以使能这些信号。

clock[1:0] No 时钟输入端口到相应的寄存器。IP核中的任何寄存器都可使用此信号。
aclr[1:0] No 异步清零输入到相应的寄存器。
sclr[1:0] No 同步清零输入到相应的寄存器。
ena[1:0] No 使能到相应寄存器的信号输入。
signa No 指定乘法器输入A的数值表示。如果signa信号为高,乘法器把乘法器输入A信号作为有符号数(signed number)。如果signa信号为低,乘法器把乘法器输入A信号作为无符号数(unsigned number)。

What is the representation format for Multipliers A inputs参数选择VARIABLE以使能该信号。

signb No 指定乘法器输入B信号的数值表示。如果signb信号为高,乘法器把乘法器输入B信号作为有符号二进制补码(a signed two's complement number)。如果signb信号为低,乘法器将乘法器输入B信号作为无符号数。
scanina[] No 扫描链A的输入。输入信号[WIDTH_A - 1,... 0]宽。当INPUT_SOURCE_A参数具有有SCANA值时,就需要scanina[]信号。
accum_sload No 动态指定累加器的值是否为常量。如果accum_sload信号为低电平,则乘法器输出被加载到累加器。不要同时使用accum_sloadsload_accum
sload_accum No 动态指定累加器的值是否为常量。如果sload_accum信号为高电平,则乘法器输出被加载到累加器。不要同时使用accum_sloadsload_accum
chainin[] No 前一阶段的加法器结果输入总线。输入信号[WIDTH_CHAININ - 1, … 0]宽。
addnsub1 No 执行第一对乘法器输出的加法和减法。输入1到addnsub1信号将第一对乘法器输出相加。输入0到addnsub1信号将第一对乘法器输出相减。
addnsub3 No 执行第一对乘法器输出的加法和减法。输入1到addnsub3信号将第二对乘法器输出相加。输入0到addnsub3信号将第一对乘法器输出相减。
coefsel0[] No 系数输入信号[0:3]到第一乘法器。
coefsel1[] No 系数输入信号[0:3]到第二乘法器。
coefsel2[] No 系数输入信号[0:3]到第三乘法器。
coefsel3[] No 系数输入信号[0:3]到第四乘法器。
表 41.   Multiply Adder Intel® FPGA IP输出信号
信号 是否需要 说明
result [] Yes 乘法器输出信号。输出信号[WIDTH_RESULT - 1..0]
scanouta [] No 扫描链A的输出。输出信号[WIDTH_A - 1..0]宽。

选择两个以上乘法器,并为What is the input A of the multiplier connected to参数选择Scan chain input

以使能该信号。