英特尔Agilex® 7可变精度DSP模块用户指南

ID 683037
日期 4/11/2023
Public
文档目录

1. 英特尔® Agilex™ 7可变精度DSP模块概述

所作的更新针对于:
Intel® Quartus® Prime设计套件 23.1
本翻译版本仅供参考,如果本翻译版本与其英文版本存在差异,则以英文版本为准。某些翻译版本尚未更新对应到最新的英文版本,请参考英文版本以获取最新信息。

英特尔® Agilex™ 7器件中的可变精度数字信号处理(DSP)模块支持定点算术运算块,单精度和半精度浮点算术运算。 英特尔® Agilex™ 7 DSP模块提供高度的设计灵活性,被优化后可用于支持高性能DSP应用。