仅对英特尔可见 — GUID: sam1395329667435
Ixiasoft
1. 英特尔® Agilex™ 7可变精度DSP模块概述
2. 英特尔® Agilex™ 7可变精度DSP模块架构
3. 英特尔® Agilex™ 7可变精度DSP模块操作模式
4. 英特尔® Agilex™ 7可变精度DSP块设计考量
5. Native Fixed Point DSP 英特尔® Agilex™ FPGA IP核参考
6. Multiply Adder Intel FPGA IP核参考
7. ALTMULT_COMPLEX Intel FPGA IP核参考
8. LPM_MULT Intel FPGA IP核参考
9. LPM_DIVIDE英特尔FPGA IP核
10. Native Floating Point DSP 英特尔® Agilex™ FPGA IP参考
11. 英特尔® Agilex™ 7可变精度DSP模块用户指南存档
12. 英特尔® Agilex可变精度DSP模块用户指南文档修订历史
仅对英特尔可见 — GUID: sam1395329667435
Ixiasoft
9.4. VHDL组件声明
VHDL 组件声明位于VHDL设计文件 (.vhd) LPM_PACK.vhd在里面<英特尔 Quartus Prime 安装目录>libraries\vhdl\lpm目录。
component LPM_DIVIDE generic (LPM_WIDTHN : natural; LPM_WIDTHD : natural; LPM_NREPRESENTATION : string := "UNSIGNED"; LPM_DREPRESENTATION : string := "UNSIGNED"; LPM_PIPELINE : natural := 0; LPM_TYPE : string := L_DIVIDE; LPM_HINT : string := "UNUSED"); port (NUMER : in std_logic_vector(LPM_WIDTHN-1 downto 0); DENOM : in std_logic_vector(LPM_WIDTHD-1 downto 0); ACLR : in std_logic := '0'; CLOCK : in std_logic := '0'; CLKEN : in std_logic := '1'; QUOTIENT : out std_logic_vector(LPM_WIDTHN-1 downto 0); REMAIN : out std_logic_vector(LPM_WIDTHD-1 downto 0)); end component;