AN 886: Intel® Agilex™ SoC器件设计指南

ID 683634
日期 1/22/2021
Public
文档目录

7.4.1. 建议的时序优化和分析约束

表 91.  建议的时序优化和分析约束检查表
编号 是否完成? 检查表项目
1   开启Settings对话框中Fitter Settings页面上的Optimize multi-corner timing选项。
2   使用create_clockcreate_generated_clock命令来对您设计中所有时钟指定频率和关系。
3   使用set_input_delayset_output_delay指定外部器件或电路板时序参数。
4   使用derive_clock_uncertainty以自动应用inter-clock,intra-clock和I/O接口不确定性。
5   使用check_timing生成关于设计或应用约束存在的,包括缺失约束在内的任何问题。
6   set_false_pathset_clock_groups用作异步路径。

本节介绍的约束和设置对于大型设计(例如 Intel® Agilex™ 器件中的设计)非常重要。

Optimize multi-corner timing选项开启时,会对设计进行优化,以满足所有时序进程困难和运行条件下的时序要求。因此,开启此选项有助于创建一个稳健的跨各种PVT variation的设计实现。

Timing Analyzer .sdc约束文件中,将建议的约束应用于您的设计。