AN 886: Intel® Agilex™ SoC器件设计指南

ID 683634
日期 1/22/2021
Public
文档目录

7.9. 功耗分析(Power Analysis)

表 97.  功耗分析检查表
编号 是否完成? 检查表项目
1   编译后,使用Power Analyzer分析功耗和散热。
2   提供准确的信号活动(最好使用门控级仿真.vcd)以获得准确的功耗分析结果。
3   指定正确的功耗分析运行条件。

设计完成前,使用EPE电子数据表对功耗进行评估。编译设计后,通过 Intel® Quartus® Prime Power Analyzer分析功耗和散热,以确保设计未偏离电源和热预算。

如果要使用Power Analyzer,则必须编译设计(需提供设计资源、布局布线以及I/O标准的相关信息),并提供信号活性数据(切换率和静态概率)。可从仿真结果或者用户定义默认切换率和非矢量估算,获得信号活性数据。用于分析的信号活动必须代表实际的运行行为。要获得最准确的功耗评估,可使用第三方仿真工具提供的输出文件.vcd中的门级仿真结果。仿真活动应包括经过真实时间段内的典型输入矢量,而非功能验证期间常用的极端情况。使用建议的仿真程序设置(例如,干扰滤波),以确保获得良好的仿真结果。

还必须指定操作条件,包括内核电压、器件电源特性、环境和节点温度、冷却解决方案和电路板热模型。在Settings对话框的Operating Settings and Conditions页面上选择合适的设置。

要计算动态,静态和I/O热功耗,点击Power Analyzer Tool。该工具还提供经过分析的信号活动总结以及反映信号活动数据源总体质量的置信度量(confidence metric)。

该报告是基于所提供数据的功耗评估,并不是电源规范。请始终参考数据表了解器件电源规范。