Intel® Quartus® Prime Pro Edition用户指南: 入门

ID 683463
日期 9/28/2020
Public
文档目录

4.9. 仿真 Intel® FPGA IP核

Intel® Quartus® Prime软件支持特定EDA仿真器中的IP核RTL仿真。IP生成会创建包含每个IP核的功能仿真模型,测试台(或设计实例)以及供应商指定仿真器安装脚本的仿真文件。 使用功能仿真模型和任意测试台或设计实例进行仿真。IP生成输出可能还具有编译和运行测试台的脚本。该脚本中罗列了仿真IP核所需的所有模型或库。

Intel® Quartus® Prime软件提供多个仿真器集成,并支持多个仿真流程,包括您的脚本化和定制仿真流程。无论选择哪个流程,IP核仿真都涉及下列步骤:

  1. 生成仿真模型,测试台(或设计实例),以及仿真器安装脚本文件。
  2. 设置仿真器环境和仿真脚本。
  3. 编译仿真模型库。
  4. 运行您的仿真器。