Intel® Quartus® Prime Pro Edition用户指南: 入门

ID 683463
日期 9/28/2020
Public
文档目录

4.9.1. 生成IP仿真文件

生成参数化IP核后, Intel® Quartus® Prime软件可选择生成功能性仿真模型,测试台(或设计实例)以及供应商指定仿真器安装脚本。控制IP仿真文件的生成:
  • 为IP仿真文件生成指定支持的仿真器和选项,点击Assignment > Settings > EDA Tool Settings > Simulation
  • 参数化新的IP实例,启用仿真文件生成,并生成IP核综合和仿真文件,点击Tools > IP Catalog
  • 编辑参数,重新生成现有IP核实例的综合或仿真文 件,点击View > Project Navigator > IP Components
表 16.   Intel FPGA IP仿真文件
文件类型 说明 文件名
仿真器安装脚本 供应商指定脚本,用于编译,解析和仿真 Intel® FPGA IP模型与仿真模型库文件。

<my_dir>/aldec/riviera_setup.tcl

<my_dir>/cadence/ncsim__setup.sh

<my_dir>/xcelium/xcelium_setup.sh

<my_dir>/mentor/msim_setup.tcl

<my_dir>/synopsys/vcs/vcs_setup.sh

<my_dir>/synopsys/vcsmx/vcsmx_setup.sh

注: Intel® FPGA IP核支持多种周期精确的仿真模型,包括仿真专用IP功能模型和加密RTL模型,以及纯文本RTL模型。通过使用业界标准VHDL或者Verilog HDL仿真器,这些模型支持对您IP核实例的快速功能仿真。而某些IP核,仅生成纯文本RTL模型,您可以仿真此模型。仿真模型只能用于仿真,不能用于综合或任何其他用途。如果将这些模型用于综合,将生成一个不具备任何功能的设计。