Intel® Quartus® Prime Pro Edition用户指南: 入门

ID 683463
日期 9/28/2020
Public
文档目录

2.3.1. 指定目标器件或电路板

Device对话框中指定工程的目标 Intel® 器件或电路板。在对话框中单击Device和Pin Options按钮指定器件配置方案,编程文件生成,I/O时序,电压和其他选项的首选项。
  1. Intel® Quartus® Prime软件中打开工程。
  2. 单击Assignments > Device
    图 13. Device Dialog Box(器件对话框)
  3. 为工程指定目标 Intel® FPGA电路板或器件。指定电路板后, Intel® Quartus® Prime软件自动为该电路板生成管脚约束脚本。
    • 为工程指定 Intel® FPGA电路板或开发套件:
      1. 单击Board选项卡。
      2. 选择目标器件Family以及支持的Development Kit。单击Yes,如果提示移除现有LocationI/O Standard管脚约束。 Intel® Quartus® Prime软件创建套件基准设计并将设计储存在<current_project_dir>/devkits/<design_name>中。要保留现有管脚约束,请单击No
      3. 选择所需的开发套件并单击OK
    • 指定用于工程的器件系列:
      1. Device选项卡上,选择FamilyDevice名称。Available devices清单会反映您的选择。
      2. 要进一步优化选择,请指定PackagePin countCore speed gradeName filterShow advanced devices过滤器选项。
      3. Available devices,选择您的目标器件Name并点击OK