Intel® Quartus® Prime Pro Edition用户指南: 入门

ID 683463
日期 9/28/2020
Public
文档目录

2.2. Intel® Quartus® Prime工程内容

Intel® Quartus® Prime软件组织工程内的设计工作。可创建并比较工程的多个版本以尝试各种设置从而实现设计目标。在GUI中创建新工程时, Intel® Quartus® Prime软件自动为该工程创建 Intel® Quartus® Prime Project File(.qpf)。 .qpf引用 Intel® Quartus® Prime Settings File (.qsf)。.qsf列出工程的设计,约束和IP文件,并储存GUI中指定的整个工程和实体特定设置。无需直接编辑.qpf.qsf文本文件。 Intel® Quartus® Prime软件会随着GUI中的更改自动创建并更新这些文件。
表 3.   Intel® Quartus® Prime工程文件
文件类型 内容 编辑 格式
工程文件 工程和版本名称 File > New Project Wizard Intel® Quartus® Prime Project File (.qpf)
设置文件 列出设计文件,实体设置,目标器件,综合指令(directive),布局约束 Assignments > Settings Intel® Quartus® Prime Settings File (.qsf)
Quartus数据库 工程编译结果 Project > Export Design Quartus Database File (.qdb)
分区数据库 分区编译结果 Project > Export Design Partition Partition Database File (.qdb)
时序约束 时钟属性,异常,建立/保持 Tools > Timing Analyzer Synopsys Design Constraints File (.sdc)
逻辑设计文件 RTL和其他设计源文件 File > New 所有支持的HDL文件
编程文件 器件编程映像和信息 Tools > Programmer

SRAM Object File (.sof)

Programmer Object File (.pof)

IP核版本 IP核系列参数化 Tools > IP Catalog Intel® Quartus® Prime IP File (.ip)
Platform Designer系统文件 系统定义 Tools > Platform Designer Platform Designer System File (.qsys)
EDA工具文件 第三方EDA工具的脚本 Assignments > Settings > EDA Tool Settings Verilog Output File (.vo)

VHDL Output File (.vho)

Verilog Quartus Mapping File (.vqm)

存档文件 将整个工程作为单个压缩文件 Project > Archive Project Intel® Quartus® Prime Archive File (.qar)