Intel® Quartus® Prime Pro Edition用户指南: 入门

ID 683463
日期 9/28/2020
Public
文档目录

4.12. 支持IEEE 1735加密标准

Intel® Quartus® Prime Pro Edition软件支持面向IP核文件解密的IEEE 1735 v1加密标准。可使用encrypt_1735实用工具加密Verilog HDL或VHDL IP文件,或使用支持IEEE 1735标准的第三方加密工具。然后可使用 Intel® Quartus® Prime Pro Edition软件中的加密文件和支持IEEE 1735加密标准的仿真工具。

Verilog HDL和VHDL的加密密钥相同。可使用与非加密模块相同的方法,将参数传递给加密模块的例化。

Intel® Quartus® Prime命令行键入encrypt_1735 --help以查看句法和encrypt_1735实用工具支持的所有选项。

encrypt_1735 [-h | --help[=<option|topic>] | -v]
encrypt_1735 <other options>

Options:
--------
	-?
	-f <argument file>
	-h
	--256_bit[=<value>]
	--help[=<option|topic>]
	--language=<verilog | systemverilog| vhdl>
	--lower_priority
	--of=<some_file>
	--quartus
	--simulation[=<aldec | cadence | mentor | synopsys (comma delimited)>]
	--tcl_jou_file=<[tcl_jou_filename=]on|off>
	--tcl_log_file=<[tcl_log_filename=]on|off>

将以下Verilog或VHDL附注和公共密钥添加到您的RTL中,使能 Intel® Quartus® Prime软件使用该密钥解密IP核文件。

Verilog/SystemVerilog Encryption Pragma(第三方工具)

`pragma protect key_keyowner="Intel Corporation"
`pragma protect data_method="aes128-cbc"
`pragma protect key_method="rsa"
`pragma protect key_keyname="Intel-FPGA-Quartus-RSA-1"
`pragma protect key_public_key
<encrypted session key>

`pragma protect begin
`pragma protect end

VHDL Encryption Pragma(第三方工具):

`protect key_keyowner = “Intel Corporation”
`protect data_method="aes128-cbc"
`protect key_method = “rsa”
`protect key_keyname = “Intel-FPGA-Quartus-RSA-1”
`protect key_block
<Encrypted session key>

仅带有第三方工具的文件加密需要公共加密密钥。通过 Intel® Quartus® Prime Pro Edition软件的文件加密不需要公共加密密钥。

使用如下方法之一获得公共加密密钥:

  • 要获得加密密钥,登录或注册一个My-Intel账户,然后提交 Intel® Premier Support案例请求加密密钥。
  • 如果不足以获得 Intel® Premier Support,则可向 Intel® Community Forum提交一个涉及"IEEE 1735 Encryption Public Key"的问题寻求帮助。
注: Intel® Quartus® Prime Standard Edition软件不支持IEEE 1735加密。