低延迟40-Gbps以太网 Intel® Stratix® 10 IP核用户指南

ID 683600
日期 10/05/2020
Public
文档目录

3.4. 生成的文件结构

Intel® Quartus® Prime Pro Edition软件生成以下IP核输出文件结构。

请参阅 Intel Stratix 10 Low Latency 40G Ethernet Design Example User Guide 了解更多有关设计实例文件结构的信息。

图 3. IP核生成文件
表 10.  IP核生成文件

文件名

说明

<your_ip>.ip

Platform Designer (Standard)系统或顶层IP实例文件。<your_ip>是您给IP实例的名称。

<your_ip>.cmp VHDL Component Declaration(.cmp)文件是一个文本文档,包含可在VHDL设计文件中使用的局部通用和端口定义。

此IP核不支持VHDL。但是, Intel® Quartus® Prime Pro Edition会生成该文件。

<your_ip>.html

包含连接信息的报告,通过存储器映射显示每个从端口的地址,及其所连接的每个主端口和参数约束。

<your_ip>_generation.rpt IP或Platform Designer (Standard)生成日志文件。IP生成期间的报文摘要。
<your_ip>.qgsimc 列出仿真参数以支持增量再生成。
<your_ip>.qgsynthc 列出综合参数以支持增量再生成。
<your_ip>.qip

包含在 Intel® Quartus® Prime Pro Edition软件中集成和编译IP组件时需要的IP组件全部信息。

<your_ip>.csv 包含有关IP组件升级状态的信息。

<your_ip>.bsf

Intel® Quartus® Prime Block Diagram Files (.bdf)中使用的,IP实列Block Symbol File (.bsf)表达。

<your_ip>.spd

生成所支持仿真器的仿真脚本而需要的ip-make-simscript输入文件。.spd文件包含一个用于仿真的生成文件列表,以及可初始化的存储器的信息。

<your_ip>.ppf Pin Planner File(.ppf)储存的是为结合Pin Planner的使用而创建的IP组件端口和节点约束。
<your_ip>_bb.v 可将Verilog黑匣(_bb.v)文件用作使用黑匣的空模块声明。
<your_ip>.sip 包含IP组件NativeLink仿真所需要的信息。必须将.sip 文件添加到您的 Intel® Quartus® Prime工程中。
<your_ip>_inst.v_inst.vhd HDL实例实例化模板。可将该文件的内容复制粘贴到您的HDL文件中以例化IP实例。

此IP核不支持VHDL。但是, Intel® Quartus® Prime Pro Edition生成_inst.vhd文件。

<your_ip>.regmap 如果IP包含寄存器信息,则生成.regmap文件。.regmap文件说明主从接口的寄存器映射信息。这样就能显示System Console中的寄存器显示视图和用户可定制统计数字。
<your_ip>.svd

允许硬核处理器系统(HPS)System Debug(系统调试)工具查看Platform Designer (Standard)系统中连接到HPS的外设寄存器映射。

综合期间,System Console主接口可见的从接口.svd文件都储存在调试部分的.sof 文件中。System Console读取该部分时,Platform Designer (Standard)可从中查询寄存器映射信息。对于系统从接口,Platform Designer (Standard)可按名称访问寄存器。

<your_ip>.v HDL文件,例化综合或仿真的每个子模块或子IP核。
mentor/

包含 ModelSim* 脚本msim_setup.tcl,以建立并运行仿真。

aldec/

包含Riviera-PRO脚本rivierapro_setup.tcl,以建立和运行仿真。

此IP核不支持使用Aldec Riviera-PRO仿真程序的仿真。但是, Intel® Quartus® Prime Pro Edition会生成此目录。

synopsys/vcs/

synopsys/vcsmx/

包含壳脚本(shell script)vcs_setup.sh,以建立和运行 VCS* 仿真。

包含壳脚本vcsmx_setup.shsynopsys_ sim.setup文件,以设置并运行 VCS* MX仿真。

cadence/

包含壳脚本ncsim_setup.sh和其他设置文件,以设置并运行NCSIM仿真。

submodules/ 包含IP核子模块的HDL文件。
<child IP cores>/ 对于每个已生成的子IP核目录,Platform Designer (Standard)也相应生成synth/sim/子目录。