Intel® Quartus® Prime Pro Edition用户指南: 设计建议

ID 683082
日期 9/28/2020
Public

本文档可提供新的版本。客户应 单击此处 前往查看最新版本。

文档目录

1.6.1. 三态信号(Tri-State Signals)

仅当三态信号连接到顶层双向或输出管脚时,才使用三态信号。

请避免使用较低级别的双向管脚。也要避免使用Z逻辑值,除非它正在驱动输出或双向管脚。即使某些综合工具使用多路复用器逻辑在Intel FPGA器件中使用内部三态信号正确地实现设计,也请勿将这种编码方式用于Intel FPGA设计。

注: 在基于层次模块的设计流程中,层次边界不能包含任何双向端口,除非较低级别的双向端口通过层次结构直接连接到顶层输出管脚,而不连接到任何其他设计逻辑。如果在较低级别的模块中使用边界三态(boundary tri-state),那么综合软件必须将三态通过层次结构推到顶层,以利用Intel FPGA器件的输出管脚上的三态驱动器。由于推动三态需要通过层次结构进行优化,因此较低级三态受到基于模块的设计方法的限制。