Arria 10 Avalon-MM DMA接口PCIe解决方案用户指南

ID 683425
日期 10/31/2016
Public
文档目录

8.4. SDC时序约束

您的顶层Synopsys Design Constraints文件(.sdc)必须包含用于Arria 10 Hard IP for PCIe IP内核的时序约束宏。

需用于Arria 10Hard IP for PCIe及实例设计的SDC时序约束

 
# Constraints required for the Arria 10 Hard IP for PCI Express
# derive_pll_clock is used to calculate all clock derived
# from PCIe refclk. It must be applied once across all
# of the SDC files used in a project  
derive_pll_clocks -create_base_clocks

此约束只能位于项目中一个跨所有SDC文件的地方。如果这些约束被多次应用则Fitter时序分析与TimeQuest时序分析间会出现差异。