Arria 10 Avalon-MM DMA接口PCIe解决方案用户指南

ID 683425
日期 10/31/2016
Public
文档目录

1.11. 创建PCI Express设计

选择最符合您设计要去的PCIe variant。

  • 您的设计是端点还是根端口?
  • 打算实现的生成是什么?
  • 打算实现的链路宽度为多少?
  • 应用程序要求多少带宽?
  • 设计中是否需要Configuration via Protocol (CvP)?
  1. 为此variant选择参数。
  2. 对于 Arria®10 器件,可使用GUI组件中新的Example Design选项卡生成指定的设计。然后,仿真此实例并下载到Arria 10 FPGA开发套件。请参阅 Arria 10 PCI Express IP Core Quick Start Guide了解详情。
  3. 所有器件中,都可使用Intel提供的设计实例进行仿真,全部Intel 静态PCI Express设计实例都可在 <install_dir>/ip/altera/altera_pcie/altera_pcie_<dev>_ed/example_design/<dev> 中找到。或者,生成一个与您参数设置匹配的设计实例,还是创建一个仿真模型,并使用自定义或第三方BFM。Qsys Generate menu生成仿真模型 generates simulation models. Intel支持 ModelSim® - Intel FPGA Edition用于所有IP。PCIe内核支持Aldec RivieraPro,Cadence NCsim,Mentor Graphics ModelSim,和Synopsys® VCS及VCS-MX仿真器。
    Intel testbench和根端口或端点BFM为连接此variation的应用层逻辑基本测试提供简易方法,但,testbench和根端口BFM并不会替代整个验证环境。要完整测试您的应用,Intel建议使用商业版PCI Express验证IP和工具,或者进行您自己的扩展硬件测试,或者两者都使用。
  4. 使用 Quartus® Prime软件编译设计。如果您的设计版本与正在运行的 Quartus® Prime软件版本不匹配,请重新生成您的PCIe设计。
  5. 把您的设计下载到Intel开发板或您的PCB中。点击以下All Development Kits链接查看Intel开发板列表。
  6. 测试硬件。可使用Intel SignalTap® 逻辑分析仪或第三方协议分析仪进行行为观测。
  7. 用您的应用层逻辑替换Intel testbench中的应用层逻辑。然后重复步骤3–6。Intel testbench中,PCIe内核通常称为DUT(被测器件)。应用层逻辑通常称为APPS。