Arria 10 Avalon-MM DMA接口PCIe解决方案用户指南

ID 683425
日期 10/31/2016
Public
文档目录

2.5. 创建 Quartus® Prime项目

使用New Project Wizard创建一个新的 Quartus® Prime项目,可有助于为项目指定工作目录,分配项目名称,及指定顶层设计实体名称。

  1. 点击 Quartus® Prime文件菜单上,选择New Project Wizard,然后点选Next
  2. New Project Wizard中点击Next: Introduction(如果在此前已关闭了介绍,此时就不会出现。)
  3. Directory, Name, Top-Level Entity页面,输入以下信息:
    1. 关于What is the working directory for this project,请浏览 <project_dir>/ep_g3x8_avmm256_integrated/
    2. 关于What is the name of this project?请浏览 <project_dir>/ep_g3x8_avmm256_integrated/synth目录并选择ep_g3x8_avmm256_integrated.v
    3. 点击Next
  4. 关于Project Type选择Empty project
  5. 点击Next
  6. Add Files页面,将 <project_dir>/ep_g3x8_avmm256_integrated/synth/ep_g3x8_avmm256_integrated.qip添加到 Quartus®Prime项目中。
  7. 点击Next 以显示Family & Device Settings页。
  8. Device 页面,选择下列目标器件系列及选项:
    1. Family列表中选择Arria 10 (GX/SX/GT)
    2. Devices列表中,选择All
    3. Available devices 列表中,选择正确的器件。为Arria 10 GX FPGA开发套件选择10AX115S2F45I1SG
  9. 点击Next关闭该页,且显示EDA Tool Settings页。
  10. Simulation列表中,选择ModelSim。 从Format列表中,选择您打算用于仿真的HDL语言。
  11. 点击Next 以显示Summary页面。
  12. 查看Summary页确保全部信息输入正确。
  13. 点击Finish
  14. 保存您的项目。