Intel® Quartus® Prime Standard Edition用户指南: 部分重配置

ID 683499
日期 9/24/2018
Public
文档目录

1. 部分重配置的设计规划

所作的更新针对于:
Intel® Quartus® Prime设计套件 18.1
本翻译版本仅供参考,如果本翻译版本与其英文版本存在差异,则以英文版本为准。某些翻译版本尚未更新对应到最新的英文版本,请参考本翻译版本仅供参考,如果本翻译版本与其英文版本存在差异,则以英文版本为准。某些翻译版本尚未更新对应到最新的英文版本,请参考英文版本以获取最新信息。

Intel® Quartus® Prime软件中的Partial Reconfiguration (PR)特性使您能够对FPGA的一部分进行动态重配置,同时器件的其余部分继续运行。

本章假定您已了解 Intel® Quartus® Prime软件中Altera的FPGA设计流程,增量编译和LogicLock™区域功能的基本知识,以及内部FPGA资源,例如:逻辑阵列模块(LAB),存储器逻辑阵列模块(MLAB),存储器类型(RAM和ROM),DSP模块,时钟网络。

Intel® Quartus® Prime软件对 Intel® Stratix® V器件系列和 Cyclone® V器件支持PR特性,这些器件的部品编号以"SC"结尾,例如:5CGXFC9E6F35I8NSC。