Intel® Quartus® Prime Standard Edition用户指南: Timing Analyzer

ID 683068
日期 9/24/2018
Public
文档目录

2.2.2. 第2步:指定时序约束

您必须指定那些用于描述设计的时钟频率要求,时序异常和I/O时序要求的时序约束,以便在时序分析期间与实际条件进行比较。您可以在添加到工程中的一个或多个 Synopsys* Design Constraints (.sdc)文件中定义时序约束。

如果您对.sdc文件不熟悉,那么可以在Timing Analyzer GUI中创建一个初始.sdc文件,或者使用提供的.sdc文件模板进行创建。如果您对时序分析熟悉,那么您可以在任何的文本编辑器中创建.sdc文件,然后添加到工程中。

  1. 请使用以下任何方法在.sdc文件中输入时序约束:
    • 在Timing Analyzer GUI中输入约束—点击Tools > Timing Analyzer,点击Update Timing Netlist,然后从Constraints菜单输入约束。GUI显示对应的SDC命令。
    • 自己创建一个.sdc文件。您可以首先添加建议的初始SDC约束,然后迭代修改.sdc约束并对时序结果进行重新分析。在输入依赖于时钟的任何约束之前,必须首先创建时钟约束。
    图 34. Create Clock Dialog Defines Clock Constraints
  2. 保存.sdc文件。在Timing Analyzer GUI中输入约束时,单击Constraints > Write SDC File,将您在GUI中输入的约束保存到.sdc文件。
  3. .sdc文件添加到工程中,如第3步:指定通用的Timing Analyzer设置所描述。