Intel® Quartus® Prime Standard Edition用户指南: Timing Analyzer

ID 683068
日期 9/24/2018
Public
文档目录

2.3.1. 建议的初始SDC约束

在初始.sdc文件中包含以下基本SDC约束。
以下示例显示了建议的初始SDC约束应用于简单的双时钟设计:
create_clock -period 20.00 -name adc_clk [get_ports adc_clk]
create_clock -period 8.00 -name sys_clk [get_ports sys_clk]

derive_pll_clocks

derive_clock_uncertainty