Intel® Quartus® Prime Standard Edition用户指南: Timing Analyzer

ID 683068
日期 9/24/2018
Public
文档目录

2.3.4.5.1. 独占时钟组(Exclusive Clock Groups (-exclusive))

使用-exclusive选项声明两个时钟是互斥的。 如果对同一节点定义多个时钟,那么可以将时钟声明为互斥。这种情况发生在多路复用时钟上。

例如,一个输入端口可以由25-MHz或50-MHz时钟提供时钟。要约束此端口,请在端口上创建两个时钟,然后创建时钟组,声明时钟不在设计中共存。将时钟声明为互斥可消除了在25-MHz时钟和50-MHz时钟之间产生的时钟传输。

图 51. Clock Mux with Synchronous Path Across the Mux
create_clock -period 40 -name clk_a [get_ports {port_a}]
create_clock -add -period 20 -name clk_b [get_ports {clk_a}]
set_clock_groups -exclusive -group {clk_a} -group {clk_b}