Intel® Quartus® Prime Standard Edition用户指南: Timing Analyzer

ID 683068
日期 9/24/2018
Public
文档目录

2.3.2. SDC文件优先级

您必须将所有创建的.sdc文件添加到工程中,以便在fitting和时序分析期间进行读取。Fitter和Timing Analyzer根据.sdc文件在.qsf中出现的顺序对它们进行处理。如果没有.sdc出现在.qsf中,那么 Intel® Quartus® Prime软件将使用<current revision>.sdc在工程目录中搜索.sdc
图 44. .sdc文件优先顺序

点击Settings > Timing Analyzer添加,删除或者修改.sdc文件的处理顺序,如第3步:指定通用的Timing Analyzer设置所描述。

如果使用 Intel® Quartus® Prime Text Editor创建一个.sdc文件,那么保存文件时会默认使能 Add file to the project选项。如果使用任何其他编辑器创建.sdc文件,那么必须将此文件添加到工程中。

.sdc文件必须只包含时序约束命令。用于操作时序网表或控制编译的Tcl命令必须位于单独的Tcl脚本中。

当使用Intel和某些第三方提供的IP时,.sdc文件通过一个中间 Intel® Quartus® Prime IP File (.qip)成为工程的一部分。.qip文件对IP引用所有源和约束文件。如果设计中的IP模块的.sdc文件通过.qip包括在内,那么不要手动重新添加它们。.sdc文件也可以从包含在.qsf中的 Intel® Quartus® Prime IP File (.qip)进行添加。

注: 如果在命令行输入read_sdc命令,而没有任何参数,那么Timing Analyzer读取嵌入在HDL文件中的约束,然后遵照.sdc文件优先顺序。