Intel® Quartus® Prime Standard Edition用户指南: Timing Analyzer

ID 683068
日期 9/24/2018
Public
文档目录

2.3.4.4. Deriving PLL Clocks

Derive PLL Clocks (derive_pll_clocks)约束自动对设计中的所有PLL的每个输出创建时钟。derive_pll_clocks检测当前的PLL设置,并通过调用create_generated_clock命令自动在每个PLL的输出上创建生成的时钟。

对PLL输入时钟端口创建基本时钟

如果您的设计包含收发器,LVDS发送器或LVDS接收器,那么要使用derive_pll_clocks约束此逻辑,并对这些模块创建时序异常。

create_clock -period 10.0 -name fpga_sys_clk [get_ports fpga_sys_clk] \
	derive_pll_clocks

create_clock命令之后,将derive_pll_clocks命令包含在.sdc文件中。每次Timing Analyzer读取.sdc文件时,都会对每个PLL输出时钟管脚创建相应的生成时钟。如果在运行derive_pll_clocks之前PLL输出上存在时钟,那么预先存在的时钟优先,并且不对该PLL输出创建自动生成的时钟。

下面显示了一个包含register-to-register路径的简单PLL设计:

图 50. 简单PLL设计

当使用derive_pll_clocks命令约束PLL时,Timing Analyzer会生成类似以下示例的消息。

derive_pll_clocks命令消息

Info:
Info: Deriving PLL Clocks:
Info: create_generated_clock -source pll_inst|altpll_component|pll|inclk[0] -divide_by 2 -name
pll_inst|altpll_component|pll|clk[0] pll_inst|altpll_component|pll|clk[0]
Info:

PLL的输入时钟是节点pll_inst|altpll_component|pll|inclk[0],此节点是-source选项。PLL的输出时钟的名称是PLL输出时钟节点pll_inst|altpll_component|pll|clk[0]

如果PLL处于时钟切换模式,那么对PLL的输出时钟生成多个时钟;一个用于主输入时钟(primary input clock)(例如,inclk [0]),一个用于辅助输入时钟(secondary input clock)(例如,inclk [1])。由于主输出时钟和辅助输出时钟不同时处于活动状态,因此要对它们创建专用时钟组。