Intel® Quartus® Prime Standard Edition用户指南: Timing Analyzer

ID 683068
日期 9/24/2018
Public
文档目录

2.3.4.3.1. 时钟分频器示例(-divide_by)

生成时钟的常见形式是二分频寄存器时钟分频器(divide-by-two register clock divider)。以下示例约束在二分频(divide-by-two)寄存器上创建一个半速率时钟。
create_clock -period 10 -name clk_sys [get_ports clk_sys]
create_generated_clock -name clk_div_2 -divide_by 2 -source \
    [get_ports clk_sys] [get_pins reg|q]

将寄存器的时钟管脚指定为时钟源:

create_clock -period 10 -name clk_sys [get_ports clk_sys]
create_generated_clock -name clk_div_2 -divide_by 2 -source \
    [get_pins reg|clk] [get_pins reg|q]
图 47. 时钟分频器(Clock Divider)
图 48. 时钟分频器波形(Clock Divider Waveform)