Intel® Quartus® Prime Standard Edition用户指南: Timing Analyzer

ID 683068
日期 9/24/2018
Public
文档目录

1.1. 时序分析基本概念

本用户指南对以下用于描述时序分析的概念作了介绍:

表 1.  时序分析器术语(Timing Analyzer Terminology)
术语 定义
到达时间(Arrival time) 相对于寄存器管脚上的所需时间,Timing Analyzer计算寄存器管脚上数据和时钟到达时间。
单元(Cell) 包含查找表(LUT),寄存器,数字信号处理(DSP)模块,存储器模块或者输入/输出单元的器件资源。在Intel Stratix® 系列器件中,LUT和寄存器包含在逻辑单元(LE)中(modeled as cell)。
时钟(Clock) 命名信号,代表设计内部或外部的时钟域。
时钟数据分析(Clock-as-data analysis) 针对复杂路径的更精确时序分析,包括与时钟路径的PLL相关的任何相移,并考虑到针对数据路径的任何相关相移。
时钟保持时间(clock hold time) 从时钟输入上的一个有效跳变后到输入管脚(驱动数据输入或者时钟使能)上的信号必须稳定的最小时间间隔。
时钟启动和锁存沿(Clock launch and latch edge) 启动沿(launch edge)是发送寄存器或者其他顺序单元数据的时钟沿,用作数据传输的源。锁存沿(latch edge)是采集寄存器或者其他顺序单元数据端口上的数据的有效时钟沿,用作数据传输的目的地。
时钟悲观(clock pessimism) 时钟悲观(clock pessimism)是指在静态时序分析期间使用与公共时钟路径相关联的最大(而非最小)延迟变化。
时钟设置(Clock setup) 在数据输入上一个信号的置位与时钟输入从低电平到高电平的跳变的置位之间的最小时间间隔。
Net 两个或多个互连组件的集合。
节点(Node) 代表承载一个信号的导线,信号在设计中不同逻辑组件之间传播。最基本的计时网表单元。用于表示端口,管脚和寄存器。
管脚(Pin) 单元的输入或输出。
端口(Port) 顶级模块输入或输出;例如,器件管脚。
亚稳态(Metastability) 当信号在不相关或异步时钟域中的电路之间传输时,可能出现亚稳态问题。Timing Analyzer分析设计中亚稳态的可能性,并计算同步寄存器链的MTBF。
多角分析(Multicorner analysis) 慢速和快速时序角的时序分析,在各种电压,工艺和温度操作条件下验证您的设计。
多周期路径(Multicycle paths) 要求一个非默认设置或保持关系进行正确分析的数据路径。
恢复和移除时间(Recovery and removal time) 恢复时间是对于下一个时钟边沿的异步控制信号置低的最小时间长度。移除时间是一个异步控制信号置低的的最小时间长度,此信号在有效时钟沿后必须是稳定的。
时序网表(Timing netlist) Compiler生成的列表,包含设计的综合节点和连接。Timing Analyzer需要此网表来执行时序分析。
时序路径(Timing path) 任意两个设计节点之间的有线连接(net),例如一个寄存器输出到另一个寄存器的输入。