Intel® Quartus® Prime Standard Edition用户指南: Timing Analyzer

ID 683068
日期 9/24/2018
Public
文档目录

2.3.5.1. 输入约束(set_input_delay)

输入约束支持对驱动器件的所有外部延迟进行指定。指定设计中所有输入端口的输入要求。
set_input_delay -clock { clock } -clock_fall -fall -max 20 foo

使用Set Input Delay (set_input_delay)约束指定外部输入延迟要求。指定Clock name (-clock)以引用虚拟或实际时钟。您可以指定一个时钟,使Timing Analyzer能够正确地获取时钟间隔和内部传输的时钟不确定性。时钟定义输入端口的启动时钟。 Timing Analyzer自动确定采集输入数据的器件内部的锁存时钟,因为器件中的所有时钟都已定义。

图 52. 输入延迟图
图 53. 输入延迟计算