Intel® Quartus® Prime Pro Edition用户指南: 设计编译

ID 683236
日期 12/16/2019
Public
文档目录

1.8. 综合语言支持

Intel® Quartus® Prime软件综合标准Verilog HDL,VHDL和SystemVerilog设计文件。