Intel® Quartus® Prime Pro Edition用户指南: 设计编译

ID 683236
日期 12/16/2019
Public
文档目录

1.8.1.4. 初始构造和存储器系统任务

Intel® Quartus® Prime软件根据Verilog HDL initial构造推断上电条件。 Intel® Quartus® Prime软件还为变量创建上电设置,包括RAM块。如果initial块中出现 Intel® Quartus® Prime软件无法综合的构造,则软件会生成错误。

为避免此类错误,请在translate_offtranslate_on综合指令中附带不可综合的构造(例如仅用于仿真的构造)。初始构造合成使得已综合设计的上电状态与仿真中原始HDL代码的上电状态相匹配。

注: 初始块不会推断某些第三方EDA综合工具中的上电条件。如果需要在综合工具间进行转换,则必须正确设置上电条件。

Intel® Quartus® Prime综合支持$readmemb$readmemh系统任务实现存储器初始化。

Verilog HDL代码:使用readmemb命令初始化RAM

reg [7:0] ram[0:15];
initial
begin
$readmemb("ram.txt", ram);
end

创建用于存储器初始化的文本文件时,需在新指令行中使用格式@<location >指定地址,然后在下一行指定存储器字,如110101abcde

以下示例显示为RAM中存储器初始化文件(Memory Initialization File)(.mif)的一部分。

文本文件格式:使用readmemb命令初始化RAM

@0
00000000
@1
00000001
@2
00000010
…
@e
00001110
@f
00001111