Intel® Quartus® Prime Pro Edition User Guide: 设计约束

ID 683143
日期 10/16/2019
Public
文档目录

1.2. 使用Tcl脚本约束设计

您可以使用.sdc.qsf设置文件执行所有设计分配。要将这些文件集成到编译和优化流程中,请使用Tcl脚本。 即使.sdc.qsf文件是使用Tcl语法编写的,但它们本身也是不可执行的。

当使用 Intel® Quartus® Prime Tcl package时,您的脚本可以打开工程,进行分配,编译设计,并将编译结果与已知目标和基准进行比较。此外,这样的脚本可以通过修改约束并重新编译设计来使迭代设计过程自动化。