Intel® Quartus® Prime Pro Edition User Guide: 设计约束

ID 683143
日期 10/16/2019
Public
文档目录

1.2.1. 创建一个工程并应用约束

命令行可执行文件包括通用全局工程设置和命令的选项。您可以使用Tcl脚本来应用约束,例如管脚位置和时序分配。 您可以编写一个Tcl约束文件,或者通过点击Project > Generate Tcl File for Project对现有工程生成一个Tcl文件。

此示例使用一个Tcl脚本创建一个工程,并使用< Intel® Quartus® Prime installation directory> /qdesigns/fir_filter/目录中的教学设计文件应用工程约束。

project_new filtref -overwrite
# Assign family, device, and top-level file
set_global_assignment -name FAMILY "Arria 10"  
set_global_assignment -name DEVICE <Device>
set_global_assignment -name VERILOG_FILE filtref.v
# Assign pins
set_location_assignment -to clk Pin_28
set_location_assignment -to clkx2 Pin_29
set_location_assignment -to d[0] Pin_139
set_location_assignment -to d[1] Pin_140
#
project_close

将此脚本保存在一个名为setup_proj.tcl的文件中,并在命令提示符下键入示例中所示的命令,以创建设计,应用约束,编译设计以及执行快速角和慢角时序分析。时序分析结果保存在两个文件中:filtref_sta_1.rptfiltref_sta_2.rpt

quartus_sh -t setup_proj.tcl 
quartus_syn filtref 
quartus_fit filtref 
quartus_asm filtref 
quartus_sta filtref --model=fast --export_settings=off 
mv filtref_sta.rpt filtref_sta_1.rpt 
quartus_sta filtref --export_settings=off 
mv filtref_sta.rpt filtref_sta_2.rpt 

键入以下命令以创建设计,应用约束并编译设计,而无需执行时序分析:

quartus_sh -t setup_proj.tcl
quartus_sh --flow compile filtref

quartus_sh --flow compile命令执行一个完整编译,等效于单击工具栏中的Start Compilation按钮。