Intel® Quartus® Prime Pro Edition User Guide: 设计约束

ID 683143
日期 10/16/2019
Public
文档目录

2.2.6. 步骤6:验证和导出规划约束

您必须先验证接口规划,然后才能将规划约束作为生成的Tcl脚本导出到工程中。验证必须确认Fitter可以布局所有剩余的未布局的设计单元,然后才能生成脚本。 当您对接口规划感到满意时,请按照以下步骤验证接口规划并将其应用于 Intel® Quartus® Prime工程:
  1. Flow控制中,点击Validate Plan。Fitter确认所有剩余的未布局的设计单元的布局。在导出约束前必须纠正所有错误。
  2. 验证后,点击Export Constraints生成一个Tcl脚本,将规划应用到设计中。输出Tcl文件包含将接口规划应用到 Intel® Quartus® Prime工程的指令。
  3. 关闭Interface Planner
  4. 要将导出的接口规划约束应用到 Intel® Quartus® Prime工程中,请点击Tools > Tcl Scripts,然后选择 <project name>.pdp_assignments.tcl脚本文件。
  5. 点击Run。脚本运行,将Interface Planner约束应用到工程。或者,从工程目录运行脚本:
    quartus_sh –t <assignments_file>.tcl
  6. 要运行综合并应用接口规划,请点击Start > Start Analysis & Synthesis
  7. 通过查看Compilation Report来确认规划的实现。