Intel® Quartus® Prime Pro Edition User Guide: 设计约束

ID 683143
日期 10/16/2019
Public
文档目录

2.3.1. 流程控制(Flow Controls)

Flow控制面板提供从Interface Planner中的任何地方对通用Interface Planner命令进行立即访问。 Flow按典型接口规划流程显示。
表 3.  流程控制(Flow Controls)
命令 说明
Open Project 使您能够在Interface Planner中选择并打开一个 Intel® Quartus® Prime工程。Open Project命令仅在独立模式下使用时才需要。
Initialize Interface Planner 加载综合网表,启动Fitter验证引擎,从 Intel® Quartus® Prime工程导入分配。
View Assignments 打开Assignments选项卡,查看和调解Interface Planner从工程中导入的冲突分配。使能或者禁用特定的工程分配来解决任何冲突。
Update Plan 将使能的工程分配应用到您的接口规划中。在更新规划之前,您不能在Plan选项卡上执行外围规划。
Plan Design 打开Plan选项卡,在接口规划中布局逻辑。
Export Constraints 将接口规划保存为Tcl脚本文件,用于工程中的其他应用。此命令只有在成功运行Validate Plan之后才可用。
Validate plan 验证接口规划中的所有约束是否与剩余的全部未布局的设计单元兼容。然后,您可以直接找到并解决所有报告的验证错误的来源。在运行Write Plan File之前必须成功地验证规划。
View Reports 打开Reports选项卡,过滤数据并查找实体和位置。