Intel® MAX® 10 FPGA配置用户指南

ID 683865
日期 1/10/2022
Public
文档目录

4.2.1. 例化Dual Configuration Intel® FPGA IP核

要例化Dual Configuration Intel® FPGA IP Core,请按照以下步骤:

  1. Intel® Quartus® Prime软件的Tools菜单中,点击IP Catalog。
  2. 在Library类别下,展开Basic Functions和Configuration Programming。
  3. 选择Dual Configuration Intel® FPGA IP ,并在点击Add后,IP Parameter Editor出现。
  4. 在New IP Instance对话框中:
    • 设置IP的顶层名称。
    • 选择器件系列。
    • 编程器件。
  5. 点击OK