HDMI Intel® Stratix 10 FPGA IP设计示例用户指南

ID 683701
日期 11/12/2021
Public
文档目录

1.4. 仿真设计

HDMI测试台对一个从TX实例到RX实例的串行环回设计进行仿真。内部视频模式生成器、音频样本生成器、边带数据生成器和辅助数据生成器模块驱动HDMI TX实例,TX实例的串行输出连接到测试台中的RX实例。
图 4. 设计仿真流程
  1. 打开所需的仿真文件夹。
  2. 运行所选仿真器的仿真脚本。此脚本在仿真器中编译并运行测试台。
  3. 分析结果。
    表 4.  运行仿真的步骤
    仿真器 工作目录 说明
    Riviera-PRO* /simulation/aldec
    在命令行中输入
    vsim -c -do aldec.do
    ModelSim* /simulation/mentor
    在命令行中输入
    vsim -c -do mentor.do
    VCS* /simulation/synopsys/vcs
    在命令行中输入
    source vcs_sim.sh
    VCS* MX /simulation/synopsys/vcsmx
    在命令行中输入
    source vcsmx_sim.sh
    Xcelium* Parallel /simulation/xcelium 在命令行中输入
    source xcelium_sim.sh
    一个成功的仿真会以如下信息结束:
    # SYMBOLS_PER_CLOCK 	= 2
    # VIC               	= 4
    # FRL_RATE          	= 0
    # BPP               	= 0
    # AUDIO_FREQUENCY (kHz)  = 48
    # AUDIO_CHANNEL     	= 8
    # Simulation pass